(Quartus Ⅱ与Modelsim仿真)三人表决器
创作时间:
作者:
@小白创作中心
(Quartus Ⅱ与Modelsim仿真)三人表决器
引用
CSDN
1.
https://blog.csdn.net/2201_75415349/article/details/142623754
本文将详细介绍如何使用Quartus II和Modelsim进行三人表决器的仿真设计。通过具体的工程建立、Verilog代码编写、图形设计文件创建以及仿真软件编辑等步骤,帮助读者掌握FPGA设计和仿真的一般流程。
实验步骤
- 启动QUARTUSⅡ建立一个空白工程,然后命名为ASDFF.qpf。
- 新建VerilogHDL源程序文件add4.v,输入程序代码并保存,然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。
module ASDFF(a,b,c,f);
input a,b,c;
output f;
assign f=(a&b)|(a&c)|(b&c);
endmodule
- 从设计文件创建模块,由ASDFF.v生成名为ASDFF.bsf的模块符号文件。
- 新建图形设计文件命名为add_4.bdf在空白处双击鼠标左键,在symbol对话框左上脚的libraries中,分别将projet下的add4模块放在图形文件add_4.bdf中,加入输入、输出引脚,双击各引脚符号,进行引脚命名。连接好的原理图
- 将ASDFF.bdf设置为顶层实体。对该工程文件进行全程编译处理,若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。查看RTL图,检查电路逻辑关系是否正确。
- 启动ModelSim仿真软件,编辑仿真软件
`timescale 1ns/1ns
module ASDFF_tp;
reg a,b,c;
wire f;
parameter dely=10;
ASDFF u1(a,b,c,f);
initial begin
a=0;b=0;c=0;
#10 a=0;b=0;c=1;
#10 a=0;b=1;c=0;
#10 a=0;b=1;c=1;
#10 a=1;b=0;c=0;
#10 a=1;b=0;c=1;
#10 a=1;b=1;c=0;
#10 a=1;b=1;c=1;
#dely $finish;
end
initial $monitor($time,,,"%b\\%b\\%b\\%b",a,b,c,f);
endmodule
module ASDFF(a,b,c,f);
input a,b,c;
output f;
assign f=(a&b)|(a&c)|(b&c);
endmodule
- 仿真波形图
热门推荐
杨妞花:那些寻亲家长的“女儿”
家有“玩火小能手”?这些消防知识必须安排!
二手房买卖合同的内容及阴阳合同效力解析
肺结节伴钙化代表着什么
暗黑破坏神不朽野蛮人技能 暗黑破坏神3野蛮人技能搭配 附装备选择
重返未来1999兔毛手袋技能是什么 重返未来1999兔毛手袋技能介绍
高考艺术特长生分数计算详解:艺术类专业与特长如何得分?
哪些类型营业执照必须进行年审?一文读懂!
云计算的部署方式:公有云、私有云、混合云、社区云
【科普】为何彩条牙膏不会混色?原因是……
等额本息还款方式详解:计算方法、优劣对比及适用人群
手机重启的正确方法
血同型半胱氨酸测定方法
三高怎么办?这个运动每天做一遍远离三高
Excel中如何标记相同的数据?多种实用方法详解
绿色甲醇原料合成气制备技术高级研讨会圆满举办
遗嘱如何有效无效
如何提高消防意识
人工智能涉及的学科
交叉韧带断裂,不做手术能自愈吗?
隐形车衣老化了怎么去除?
数据结构与算法笔记:最优变长编码:哈夫曼编码
球兰的生长环境及喜好(探究球兰的光照习性与生长环境选择)
华为防火墙基础配置实验:Local、DMZ、Trust、Untrust区域互联
揭秘“脐下三寸”:关元穴的保健功效与应用
科普丨淋巴细胞知多少
2025年考研数学全年复习规划及参考用书
十个维度问健康——二问汗
第三届“西史辨伪与中华文化复兴”学术论坛简述
哈佛研究生一年要多少费用?预算清单一览!