三八译码器实现详解
创作时间:
作者:
@小白创作中心
三八译码器实现详解
引用
CSDN
1.
https://m.blog.csdn.net/qq_57716246/article/details/140401207
三八译码器是一种常见的数字电路组件,用于将三个输入信号转换为八个输出信号。本文将详细介绍三八译码器的实现方法,包括设计输入、编写逻辑和功能仿真三个步骤。
1、设计输入
三八译码器有三个输入引脚(a,b,c)八个输出引脚,输入三位二进制信号产生八种状态。
真值表如下:
三八译码器真值表a b c out[7:0]
0 0 0 0000_0001
0 0 1 0000_0010
0 1 0 0000_0100
0 1 1 0000_1000
1 0 0 0001_0000
1 0 1 0010_0000
1 1 0 0100_0000
1 1 1 1000_0000
2、编写逻辑
module Decoder_38_Review(
a,
b,
c,
out
);
input a;
input b;
input c;
output reg [7:0]out;
//三八译码器
always@(*) begin
case({a,b,c})
3'b000: out = 8'b0000_0001;
3'b001: out = 8'b0000_0010;
3'b010: out = 8'b0000_0100;
3'b011: out = 8'b0000_1000;
3'b100: out = 8'b0001_0000;
3'b101: out = 8'b0010_0000;
3'b110: out = 8'b0100_0000;
3'b111: out = 8'b1000_0000;
endcase
end
endmodule
{a,b,c}表示位拼接,将三个一位信号拼接为一个三位信号。位拼接用于将多个指定位宽的操作数拼接为一个新的操作数。
always块描述的信号赋值时,被赋值变量应为reg型。
3‘b中b表示二进制;o表示八进制;d表示十进制;h表示十六进制。例:3’b110也可表示为3‘o6、3‘d6、3’h6
3、功能仿真
`timescale 1ns / 1ns
module Decoder_38_tb;
reg a_s;
reg b_s;
reg c_s;
wire [7:0]out;
//例化
Decoder_38_Review Decoder_38_Review_tb(
.a(a_s),
.b(b_s),
.c(c_s),
.out(out)
);
//激励
initial begin
a_s = 0;b_s = 0;c_s = 0;
#200;
a_s = 0;b_s = 0;c_s = 1;
#200;
a_s = 0;b_s = 1;c_s = 0;
#200;
a_s = 0;b_s = 1;c_s = 1;
#200;
a_s = 1;b_s = 0;c_s = 0;
#200;
a_s = 1;b_s = 0;c_s = 1;
#200;
a_s = 1;b_s = 1;c_s = 0;
#200;
a_s = 1;b_s = 1;c_s = 1;
#200;
$stop;
end
endmodule
仿真结果如下:
热门推荐
推导三次以及四次方程的求根公式
云存储服务的安全性与隐私保护探讨
全球第一台性能超过十亿亿次的计算机:神威·太湖之光
烟曲霉过敏的症状
美本毕业后的选择:直接就业还是继续深造?
影视明星主创「基础片酬加分红」,能实现吗?
容积率公式大全(关于容积率你知道多少?)
蒙顶山石花茶:揭秘其种类、特点及品鉴技巧
干燥综合征患者的饮品指南:5种适宜饮品和注意事项
古代玉石势图片:女子出嫁必备的画册与服饰指南
从石到器 我们对玉的喜爱从未停止
揭开胃窦蠕动缓慢的真相:饮食、药物与感染的三重挑战!
紫薯:胃部健康的“保护伞”
电动车充电器如何选择与匹配?选择合适的充电器有哪些注意事项?
从喝水就能判断自己的体质,中医教你快速辨别痰湿、阴虚、阳虚!
犯罪心理学:解读黑暗心灵的专家
揭秘蘑菇:从生长环境到食用方式,了解蘑菇的一切
野生蘑菇毒难辨!东北地区常见毒蘑菇长这样
家庭相册㉗|69年前的一张结婚照,窥见中国式婚姻图景
套装选购技巧:品质与价格的平衡
高考语文答题注意事项及时间规划指南
床的安放方向有哪些讲究?这种安放方式对睡眠质量有何影响?
顽固性便秘怎样才能恢复正常排便
顽固性便秘最快通便的土方法有什么
两只耳朵听力不一样正常吗
父母老了,该给他们定期听力检测了
C语言如何自举:从简单编译器到功能强大的编译器
编程语言为什么能自举
购新能源车需要具备哪些资金准备?
冬季助听器保养指南:六大要点确保设备稳定运行